请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1300|回复: 1

Verilog HDL 的系统函数$finish

[复制链接]
afei6969 发表于 2021-4-10 21:14:43 | 显示全部楼层 |阅读模式
格式:
$finish;
$finish(n);
系统任务$finish的作用是退出仿真器,返回主操作系统,也就是结束仿真过程。任务$finish可以带
参数,根据参数的值输出不同的特征信息。如果不带参数,默认$finish的参数值为1。下面给出了对
于不同的参数值,系统输出的特征信息:
0 不输出任何信息
1 输出当前仿真时刻和位置
2 输出当前仿真时刻,位置和在仿真过程中
所用memory及CPU时间的统计
zhangyukun 发表于 2021-4-11 16:26:37 | 显示全部楼层
Verilog HDL 的系统函数$finish
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-16 17:28 , Processed in 0.061348 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表