请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1212|回复: 1

Verilog HDL 的系统任务$stop

[复制链接]
afei6969 发表于 2021-4-11 13:39:38 | 显示全部楼层 |阅读模式
格式:
$stop;
$stop(n);
$stop任务的作用是把EDA工具(例如仿真器)置成暂停模式,在仿真环境下给出一个交互式的命令提示
符,将控制权交给用户。这个任务可以带有参数表达式。根据参数值(0,1或2)的不同,输出不同的
信息。参数值越大,输出的信息越多。
zhangyukun 发表于 2021-4-11 16:26:10 | 显示全部楼层
Verilog HDL 的系统任务$stop
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-18 19:42 , Processed in 0.059855 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表