集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1639|回复: 1

【Modelsim常见问题】仿真输出波形都是蓝色高阻态

[复制链接]
荼蘼 发表于 2021-5-20 22:01:08 | 显示全部楼层 |阅读模式
刚开始学习写仿真的时候很多人都有可能遇到了仿真输出波形都是蓝色高阻态的这个问题
根源没有定义位宽(位宽不匹配)
       很多人一不下心就忘记定义变量的位宽了,有的是在代码模块里面定义了位宽,但是在仿真tb里面的时候忘记了定义位宽,或者是在仿真tb里面定义了位宽,但是代码模块里面又忘记了定义位宽,这样就会出现这个问题


其实这个问题我们可以从问题往上找,一步步定位就可以发现错误的原因。先看仿真tb代码

仿真tb部分这个里面的这个变量没有问题,我们再进一步往上找,找被测试的代码模块

我们可以看到问题的根源是在被测试的代码模块里面没有定义位宽,虽然cont定义的是32位的,但是Cont_cont是1位的位宽,在19行虽然赋值了,但是位宽不匹配,所以就会出现高31位是蓝色的,其实我们可以把这个波形展开看一下还可以发现最低那一位还是有数据的,高31位是蓝色的高阻态

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
lihongkun16 发表于 2021-5-21 08:46:20 | 显示全部楼层
【Modelsim常见问题】仿真输出波形都是蓝色高阻态
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-16 02:09 , Processed in 0.069715 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表