集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1459|回复: 0

【Vivado常见问题】自带仿真工具如何查看之前跑的仿真波形

[复制链接]
荼蘼 发表于 2021-7-22 09:28:00 | 显示全部楼层 |阅读模式
在使用Vivado自带仿真工具进行完仿真后,如何进行保存可以方便下次直接打开查看波形呢?
涉及到的文件
1. 波形数据库文件(.WDB),其中包含所有的仿真数据。
2. 波形配置文件(.WCFG),其中包含于波形配置文件中的对象相关联的顺序和设置

如何保存这两个文件
1.波形数据库文件(.WDB):仿真完,工具会自动保存,保存的路径会根据你进行不同仿真类型会有差异,
如果是Run Behavioral Simulation仿真,那么波形数据文件保存的路径为“工程目录下\工程名称.sim\sim_1\behav\xsim\”,文件为“仿真顶层名_behav.wdb”
如果是Run Post-Synthesis Simulation仿真,那么波形数据文件保存的路径为“工程目录下\工程名称.sim\sim_1\synth\func\xsim\”,文件为“仿真顶层名_func_synth.wdb”
其他类型仿真保存的路劲类似,不一一列举
需要注意的是,一次仿真对应一个.WDB文件,每次仿真都会自动覆盖掉前一次的.WDB文件,因为.WDB文件自动保存的名称是一样的。如果想保存多次仿真的.WDB文件,在仿真完成后到.WDB文件目录下将.WDB文件重命名,这样下一次仿真就不会覆盖这个.WDB文件。

2.波形配置文件(.WCFG):仿真完后,直接点击保存,如下图所示,然后选择路径进行保存

主要注意的是,一次仿真可以有多个.WCFG文件,可以根据不同的信号搭配保存命名为不同的.WCFG,在后面的使用上也可以根据实际需要打开不同的.WCFG文件

如何使用这两个文件(查看之前仿真波形,按先步骤1,再步骤2的顺序操作即可)
1.波形数据库文件(.WDB)
点击Vivado的菜单栏中的 Flow -> Open Static simulation,然后选中之前保存的 .wdb 文件即可。




本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-27 04:01 , Processed in 0.097994 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表