集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1049|回复: 4

移码验证

[复制链接]
fpga_feixiang 发表于 2021-10-15 22:53:55 | 显示全部楼层 |阅读模式
`timescale 1ns/1ns
module testbench;
reg clk, rst_n;
initial begin
        clk=0;
        rst_n=0;
        #53 rst_n=1;
end
always #2 clk=~clk;




reg signed [3:0]dx;
reg signed [3:0]dy;


always @(posedge clk or negedge rst_n)
           if(~rst_n)begin
                        dx<=4'd0;
                        dy<=4'd0;end
           else begin
                        dx<=$random%4;
                        dy<=$random%4;end
               




//转换移码
wire [3:0]dx1,dy1;
assign dx1={(~dx[3]),dx[2:0]};
assign dy1={(~dy[3]),dy[2:0]};












wire [3:0]add,sub;
assign add=dx1+dy;
assign sub=dx1-dy;

wire [3:0]add1,sub1;

assign add1={(~add[3]),add[2:0]};
assign sub1={(~sub[3]),sub[2:0]};










endmodule
zhangyukun 发表于 2021-10-16 17:26:39 | 显示全部楼层
移码验证   
zxopenljx 发表于 2022-1-18 09:31:45 | 显示全部楼层
移码验证移码验证
大鹏 发表于 2022-5-23 14:23:52 | 显示全部楼层
移码验证            
hellokity 发表于 2022-6-1 13:35:53 | 显示全部楼层
移码验证               
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 21:41 , Processed in 0.065100 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表