集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1872|回复: 2

用modelsim仿真时出现以下错误

[复制链接]
vvt 发表于 2011-8-27 16:10:11 | 显示全部楼层 |阅读模式
* Warning: (vsim-7) Failed to open readmem file "E:/modelsim_simulation/signal/addr.dat" in read mode.
# No such file or directory. (errno = ENOENT)    : E:/modelsim_simulation/signal/Signal.v(102)
#    Time: 0 ns  Iteration: 0  Instance: /Signal
# ** Warning: (vsim-7) Failed to open readmem file "E:/modelsim_simulation/signal/data.dat" in read mode.
# No such file or directory. (errno = ENOENT)    : E:/modelsim_simulation/signal/Signal.v(103)
 楼主| vvt 发表于 2011-8-27 16:10:23 | 显示全部楼层
我用系统任务$readmemh来读文件的
njithjw 发表于 2011-9-10 21:02:17 | 显示全部楼层
文件路径放正确了吗?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-28 21:14 , Processed in 0.066413 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表