集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 4151|回复: 10

任意分频的程序

[复制链接]
pengdan0905 发表于 2011-9-5 10:01:53 | 显示全部楼层 |阅读模式
module fp(clk,rst,clk_even);
  input        clk,rst;
output       clk_even;

  reg[4:0]     count1,count2;

  reg          clkA,clkB;

wire        clk_even,clk_re;

  parameter    N = 5;
assign clk_re   = ~clk;

    assign clk_even = clkA | clkB;

   

    always @(posedge clk)

      if(! rst)  

        begin

          count1 <= 1'b0;

          clkA  <= 1'b0;           

        end

      else

        if(count1 < (N - 1))

          begin

            count1 <= count1 + 1'b1;            

            if(count1 == (N - 1)/2)

              begin

                clkA <= ~clkA;

              end               

          end         

        else

          begin

            clkA <= ~clkA;

            count1 <= 1'b0;

          end           

         

  always @ (posedge clk_re)

    if(! rst)

      begin

        count2 <= 1'b0;

        clkB  <= 1'b0;

      end

    else

      if(count2 < (N - 1))

        begin

          count2 <= count2 + 1'b1;            

            if(count2 == (N - 1)/2)

              begin

                clkB <= ~clkB;

              end               

        end         

      else

        begin

          clkB <= ~clkB;

          count2 <= 1'b0;

        end           

   
endmodule
 楼主| pengdan0905 发表于 2011-9-5 10:02:25 | 显示全部楼层
自己学习,自己分享,保存在这
weidebao1985 发表于 2011-9-21 09:11:55 | 显示全部楼层
看到作者在二楼的留言,我笑了。呵呵
 楼主| pengdan0905 发表于 2011-9-21 10:12:29 | 显示全部楼层
回复 3# weidebao1985


    为嘛?
helixin001 发表于 2011-9-21 13:15:19 | 显示全部楼层
MARK,谢谢楼主分享。
调试一下看看再说
weidebao1985 发表于 2011-9-21 17:56:18 | 显示全部楼层
回复 4# pengdan0905


   自己顶自己呗,呵呵
snowinmoon 发表于 2011-9-23 15:36:57 | 显示全部楼层
不错不错,顶下楼主,建议楼主还可以想想2.5分频怎么写
 楼主| pengdan0905 发表于 2011-9-23 16:23:10 | 显示全部楼层
我也初学,好多都不会,挺着急呀
yhf561 发表于 2011-10-13 20:19:41 | 显示全部楼层
学习学习,呵呵!
yhf561 发表于 2011-10-13 20:19:48 | 显示全部楼层
学习学习,呵呵!
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-29 13:26 , Processed in 0.152200 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表