集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1632|回复: 3

求高手补全下面的程序, 并说明程序完成的功能。 感激不尽!

[复制链接]
xiong7700 发表于 2011-9-28 02:28:19 | 显示全部楼层 |阅读模式
本帖最后由 xiong7700 于 2011-9-28 02:31 编辑

library ieee;
use ieee.std_logic_1164.all;
entity inverter is
????????????????????????????
            y : out std_logic);
???????????????????????????
architecture inverter_1 of inverter is
begin
                     y< =not a;
end inverter_1;               
                     本程序实现什么功能?  有会填的请帮帮我我。
snowinmoon 发表于 2011-9-28 09:56:15 | 显示全部楼层
library ieee;
use ieee.std_logic_1164.all;

entity inverter is
port (
      a : in std_logic;
         y : out std+logic);
end entity inveter;

architecture inverter_1 of inverter is
begin
         y <= not a;
end architecture inverter_1;

就是个非的功能,你滴明白??
这是你要的,但是我觉得,加上时序会更好
 楼主| xiong7700 发表于 2011-9-28 10:30:59 | 显示全部楼层
回复 2# snowinmoon


    什么叫非的功能?  我是新手 不太理解
snowinmoon 发表于 2011-9-28 13:58:58 | 显示全部楼层
回复 3# xiong7700


    大哥,数电学过??非,就是取反
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-10 21:12 , Processed in 0.070793 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表