集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1872|回复: 3

求高手补全下面的程序, 并说明程序完成的功能。 感激不尽!

[复制链接]
xiong7700 发表于 2011-9-28 02:29:30 | 显示全部楼层 |阅读模式
本帖最后由 xiong7700 于 2011-9-28 02:32 编辑

library ieee;
use ieee.std_logic_1164.all;
entity inverter is
????????????????????????????
            y : out std_logic);
???????????????????????????
architecture inverter_1 of inverter is
begin
                     y< =not a;
end inverter_1;               
                     本程序实现什么功能?  有会填的请帮帮我。
至芯_雷老师 发表于 2011-10-7 17:49:32 | 显示全部楼层
求a的反,所以是一个输入为a的非门。
caoshang_fei 发表于 2012-1-11 15:29:03 | 显示全部楼层
a:in std_logic 功能就是取反呗
caoshang_fei 发表于 2012-1-11 15:29:33 | 显示全部楼层
a是标准输入逻辑向量
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-23 17:33 , Processed in 0.116852 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表