library ieee;
use ieee.std_logic_1164.all;
entity inverter is
????????????????????????????
y : out std_logic);
???????????????????????????
architecture inverter_1 of inverter is
begin
y< =not a;
end inverter_1;
本程序实现什么功能? 有会填的请帮帮我。
不是高手拉拉··· 刚刚学习这个的 de `
library ieee;
use ieee.std_logic_1164.all;
entity inverter is
port( x: in std_logic;
y : out std_logic);
end inverter;
architecture inverter_1 of inverter is
begin
y< =not a;
end inverter_1;