集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1187|回复: 1

跪求解答 急~~

[复制链接]
champagne66 发表于 2011-10-8 22:23:18 | 显示全部楼层 |阅读模式
NgdBuild:604 - logical block 'DATA_OUT/RAM' with type 'RAM_16800' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, or the misspelling of a type name. Symbol 'RAM_16800' is not supported
   in target 'virtex5'.
哪个高手遇到过这个问题 急需解答  多谢了
至芯_雷老师 发表于 2011-10-11 16:06:34 | 显示全部楼层
从错误信息看很可能你引用的IP核在Vertex5器件的库中不存在,也有可能库的路径设置不正确,也可能是引脚名拼写错误,总之具体错误必须根据提示逐一排除。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-29 15:33 , Processed in 0.066063 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表