集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1197|回复: 0

怎么用VHDL语言实现2进制转换成16进制?

[复制链接]
wodeshijie8000 发表于 2011-10-15 00:33:36 | 显示全部楼层 |阅读模式
或者调用IEEE.STD_LOGIC.ARITH库中的什么函数可以实现转换,我目标是要将STD_LOGIC_VECTOR类型的数据转换成16进制显示,不知道该怎么做?
请问哪位高手支支招?感激不尽。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-29 08:40 , Processed in 0.060695 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表