集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1188|回复: 2

仿真信号生成问题

[复制链接]
x2007100154 发表于 2011-10-16 16:00:13 | 显示全部楼层 |阅读模式
library ieee;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_unsigned.all;
   entity test_fadder is
   end entity test_fadder;
   architecture arc1 of test_fadder is
   component f_adder  
  port(ain,bin: in std_logic;
       cin: in std_logic;
       sum,cout: out std_logic);
end component;
signal test_a,test_b,test_c: std_logic;
signal test_co,test_s: std_logic;
begin
u0:f_adder port map(ain=>test_a,bin=>test_b,cin=>test_c,
cout=>test_co,sum=>test_s);
process
begin
test_a<='0';
wait for 400 ns;
test_a<='1';
wait for 400 ns;
test_a<='0';
wait for 200 ns;
test_a<='1';
wait for 1000 ns;
test_a<='0';
wait ;
end process;
process
begin
test_b<='0';
wait for 500 ns;
test_b<='1';
wait for 800 ns;
test_b<='0';
wait ;
end process;
process
begin
test_c<='0';
wait for 400 ns;
test_c<='1';
wait for 700 ns;
test_c<='0';
wait for 400 ns;
test_c<='1';
wait for 600 ns;
test_c<='0';
wait;
end process;
end;

我是以程序直接产生的方法生成仿真输入信号的,为什么点击时序仿真的时候说没有输入信号??顶层实体名为f_adder,请高手指教,,
ok1246 发表于 2011-10-24 16:48:37 | 显示全部楼层
神马东东...
至芯兴洪 发表于 2011-10-28 13:54:22 | 显示全部楼层
用verilog编写个激励测试下,你那搞得很乱
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-10 19:37 , Processed in 0.072736 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表