集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 210|回复: 6

SignalTap II逻辑分析仪的使用

[复制链接]
LYF 发表于 2024-1-10 15:34:53 | 显示全部楼层 |阅读模式


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| LYF 发表于 2024-1-11 08:53:28 | 显示全部楼层
SignalTap II逻辑分析仪的使用
http://www.fpgaw.com/forum.php?m ... 1&fromuid=59610
(出处: 集成电路技术分享)

点评

海!外直播 v.ht/33833 禁闻视频 v.ht/55533 好多年前,我在上海本地某论坛混的时候,去过那个网站,整整一层楼面办公的都是管理员,专门删贴的。网络是智力密集型行业,在中国却是劳动力密集型的。  发表于 2024-1-11 16:13
 楼主| LYF 发表于 2024-1-12 09:32:34 | 显示全部楼层
SignalTap II逻辑分析仪的使用
http://www.fpgaw.com/forum.php?m ... 1&fromuid=59610
(出处: 集成电路技术分享)
 楼主| LYF 发表于 2024-1-13 09:23:11 | 显示全部楼层
SignalTap II逻辑分析仪的使用
http://www.fpgaw.com/forum.php?m ... 1&fromuid=59610
(出处: 集成电路技术分享)
 楼主| LYF 发表于 2024-1-14 09:12:31 | 显示全部楼层
SignalTap II逻辑分析仪的使用
http://www.fpgaw.com/forum.php?m ... 1&fromuid=59610
(出处: 集成电路技术分享)
 楼主| LYF 发表于 2024-1-15 08:54:55 | 显示全部楼层
SignalTap II逻辑分析仪的使用
http://www.fpgaw.com/forum.php?m ... 1&fromuid=59610
(出处: 集成电路技术分享)
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-30 02:35 , Processed in 0.075361 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表