集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2637|回复: 2

关于用parameter来确定数据位宽的问题的

[复制链接]
huigenb 发表于 2011-10-25 16:30:57 | 显示全部楼层 |阅读模式
在对寄存器赋常数的时候,我一般习惯指定常数的位宽。
那么当用 parameter 来确定寄存器的位宽的情况下,
当对该寄存器赋值时,是否也可以用 parameter 来指定常数的位宽呢?
例如:

……
parameter N;
……
reg[N-1:0] cnt_o;
……
cnt_o<=N'd0;

像这样的赋值,编译不过,请问一下,为什么不能这样用呢?有其它的方法来用 parameter 指定常数的位宽吗?
至芯兴洪 发表于 2011-10-28 13:37:37 | 显示全部楼层
parameter N=""位宽" 就行了
 楼主| huigenb 发表于 2011-10-30 18:22:40 | 显示全部楼层
好的,谢谢了哈。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-10 10:12 , Processed in 0.063933 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表