集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1937|回复: 3

怎么产生时钟脉冲

[复制链接]
kaixglg 发表于 2011-10-29 13:44:37 | 显示全部楼层 |阅读模式
module osc2(clk);
output clk;
reg clk;
initial #10 clk=0;
always @(clk) #10 clk<=~clk;
endmodule
为什么产生不了脉冲信号啊      仿真波形就是一条直线
至芯兴洪 发表于 2011-10-29 19:14:43 | 显示全部楼层
产生时钟应该是 always #10 clk = ~clk;
 楼主| kaixglg 发表于 2011-10-29 19:49:30 | 显示全部楼层
回复 2# 至芯兴洪


    还是不行啊   波形还是一条直线   您能帮我写一个完整的代码吗?谢谢您了!
至芯兴洪 发表于 2011-10-30 09:12:47 | 显示全部楼层
下面是一个8bit的进位加法器的测试模块,你看看时钟是怎么产生的
`timescale 1ns/1ns
module test;
reg rst;
reg        clk;
reg [7:0]cina;
reg [7:0]cinb;
reg cin;

initial
begin
        clk=0;
        rst=0;
        cin=0;
        cina=8'b00000000;
        cinb=8'b00000000;
        #1150
        rst=1;
        #100000 $stop;
end

always #100 clk=~clk;

always @(posedge clk)
begin
        cina={$random}%256;
        cinb={$random}%256;
        cin={$random}%2;
end

sum sum1(
                .cina(cina),
                .cinb(cinb),
                .cin(cin),
                .clk(clk),
                .sum(sum),
                .rst(rst),
                .cout(cout)
                );
                                                       
endmodule
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-10 07:29 , Processed in 0.067578 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表