集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1314|回复: 3

代码不知道哪错了,好纠结

[复制链接]
pxpwoa 发表于 2011-11-4 19:13:52 | 显示全部楼层 |阅读模式
module stimulus;
    wire newspaper;
    reg[2:0] coin;
    reg clock,reset;
    vend sb(coin,newspaper,clock,reset);

initial $monitor($time, , , ,"Coin = %b   Newspaper = %b   Reset=%b",coin,newspaper,reset);
intial
begin
    clock=0;
    coin=0;
    reset=1;
    #50 reset=0;
    @(negedge clock);
    #80 coin=2'b01;#40 coin=2'b10;
    #80 coin=2'b01;#40 coin=2'b00;
    #80 coin=2'b10;#40 coin=2'b10;
    #80 coin=2'b00;#40 coin=2'b01;
    #80 coin=2'b01;#40 coin=2'b01;
    #80 $finish;
end
always
begin
     #20 clock=~clock;
end
endmodule


错误提示是:
** Error: E:/modelsim_exercise/1t.v(9): near "begin": syntax error, unexpected "begin", expecting "IDENTIFIER" or '.' or '#' or '(

大哥们帮看一下吧,小弟初学者。
jahero 发表于 2011-11-4 20:17:02 | 显示全部楼层
哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈啊哈哈
你initial打错了
 楼主| pxpwoa 发表于 2011-11-4 21:49:08 | 显示全部楼层
好眼力,之前咋愣是没看出来
冉正国 发表于 2011-11-7 21:16:17 | 显示全部楼层
学的是VHDL,对v不甚了解,不还意思
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-15 20:03 , Processed in 0.075331 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表