集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1151|回复: 2

关于verilog中任务的使用问题

[复制链接]
liu_fpga 该用户已被删除
liu_fpga 发表于 2011-11-14 11:10:34 | 显示全部楼层 |阅读模式
夏老师,您好,我每次调用带参数的任务的时候 ,为什么在实际调用中传过去的参数不是我传得那个数?
ddr 发表于 2011-11-14 12:04:54 | 显示全部楼层
至芯科技【夏宇闻教授专栏】与你一起搞定FPGA设计http://www.fpgaw.com/thread-14188-1-1.html
ddr 发表于 2011-11-14 12:05:19 | 显示全部楼层
请在上面的链接提问题
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-15 16:03 , Processed in 0.074311 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表