集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1073|回复: 0

顶层模块调用底层模块的端口信号,仿真时出错~~~

[复制链接]
pxpwoa 发表于 2011-11-15 15:56:04 | 显示全部楼层 |阅读模式
设计的是三个层次的模块,顶层模块topcpu.v,中间模块cpu.v,底层模块adr.v
我在topcpu.v中调用adr的端口信号pc_addr,仿真的时候出错:Unresolved reference to 'm_adr' in t_cpu.m_adr.

这是我的调用语句 while(test==1)
                       @(t_cpu.m_adr.pc_addr)//fixed
                       if((t_cpu.m_adr.pc_addr%2==1)&&(t_cpu.m_adr.fetch==1))
                    begin
                        #60  PC_addr<=t_cpu.m_adr.pc_addr-1;
                             IR_addr<=t_cpu.m_adr.pc_addr;
                        #340  $strobe("%t   %h    %s    %h   %h",$time,PC_addr,mnemonic,IR_addr,data);
                    end

以下是各模块中的实例化

在topcpu.v中:   cpu  t_cpu(.clk(clock),.reset(reset),.halt(halt),.rd(rd),.wr(wr),.addr(addr),.data(data));

在cpu.v中:adr m_adr(.fetch(fetch),.ir_addr(ir_addr),.pc_addr(pc_addr),.addr(addr));

实在找不到原因,很头痛~~~
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-16 07:44 , Processed in 0.064965 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表