集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1119|回复: 0

问一个简单的VHDL问题,信号线上电赋初值

[复制链接]
sioca 发表于 2011-11-20 15:50:11 | 显示全部楼层 |阅读模式
我有一个CPLD出来的IO口P,想要刚上电时是一种状态(比如0)。
工作起来后,由另外一个信号S1,S2边沿触发P的状态变动。
比如上电时P是0,当S1触发时P = 1,当S2触发时P = Z。


这种用VHDL(或者其他语言)应该怎么实现呢?




我的想法是用两个进程PROCESS(S1) PROCESS(S2)
只要PROCESS启动后,P就在1和Z之间改变,但再也不会是0了
但不知道初始化成0应该怎么描述。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-15 08:55 , Processed in 0.077171 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表