集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2189|回复: 1

用VHDL编一个cmi码解码的程序

[复制链接]
ckwangwei1987 发表于 2011-12-13 15:54:24 | 显示全部楼层 |阅读模式
我想用VHDL编一个cmi解码的程序,原理是这样的:
(1)时钟clk输入,cmi码流输入;
     设置一个两位标准逻辑矢量q;设置一个两位计数器cnt;
     设置标志位flag;
(2)当cmi码流下降沿来临时,flag=1,表示新码组的开始时刻;
(3)cnt对clk计数,将相邻的两个码元分为一组
(4)串并转换,将相邻2个码元转换为q矢量;
(5)q=“00”或“11”?是的话解码为“1”,否的话解码为“0”

其中cmi编码的原理是“1”码交替用“11”和“00”两位码表示;“0”码固定用“01”表示。“00”和“11”的交替出现使“0”和“1”在码流中平衡。“10”作为禁用字。
fpgaw 发表于 2011-12-13 18:15:44 | 显示全部楼层
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-23 17:32 , Processed in 0.207844 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表