集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1318|回复: 1

FPGA的时钟输出引脚问

[复制链接]
bfxyxxjx 发表于 2012-1-6 12:48:31 | 显示全部楼层 |阅读模式
EP3Cls200  fpGA中 pllout时钟输出引脚中说Optional negative terminal for external clock outputs from PLL[1..4]. These pins can only use the
differential I/O standard if it is being fed by a PLL output  
什么意思,时钟输出引脚只能做差分输出吗?
我用单端做时钟输出不行吗?一般的都是用做单端输出的,怎么这个必须用差分?谢谢
秦菲菲 发表于 2012-1-6 16:38:39 | 显示全部楼层
恩我知道了谢谢之类的 分享
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 19:54 , Processed in 0.158228 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表