集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3000|回复: 0

什么叫unexpected "SYSTEM_IDENTIFIER"

[复制链接]
清秀的禽兽 发表于 2012-1-9 10:43:47 | 显示全部楼层 |阅读模式
初学verilog,把教程中的程序copy到modelsim里验证,发现有错误。这是一个测试程序。
`timescale 1ns/100ps;
`include "./Blocking.v";
`include "./Nonblocking.v";

module compareTop;
wire [3:0]b1,c1,b2,c2;
reg clk;
reg [3:0]a;

initial
begin
clk=0;
forever #50 clk=~clk;
end  //时钟

initial
a=4'h3;
$display("a=%d,b1=%d,c1=%d,b2=%d,c2=%d",a,b1,c1,b2,c2);
# 100 a = 4'h7;
$display("a=%d,b1=%d,c1=%d,b2=%d,c2=%d",a,b1,c1,b2,c2);
# 100 a = 4'hf;
$display("a=%d,b1=%d,c1=%d,b2=%d,c2=%d",a,b1,c1,b2,c2);
# 100 a = 4'ha;
$display("a=%d,b1=%d,c1=%d,b2=%d,c2=%d",a,b1,c1,b2,c2);
# 100 a = 4'h2;
$display("a=%d,b1=%d,c1=%d,b2=%d,c2=%d",a,b1,c1,b2,c2);
# 100 $display("a=%d,b1=%d,c1=%d,b2=%d,c2=%d",a,b1,c1,b2,c2);
$stop;
end
non_blocking non_blocking(a,b2,c2,clk);
blocking blocking(a,b1,c1,clk);
endmodule
问题出在16行,就是第一个$display(红色),near "$display": syntax error, unexpected "SYSTEM_IDENTIFIER"请问是什么原因,还有怎么改正,谢谢。
另外如果把16行注释了,其他display的行也是同样问题。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 18:05 , Processed in 0.072965 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表