集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1855|回复: 0

请教bmp图片读取的问题

[复制链接]
chdaj 发表于 2012-2-1 08:20:10 | 显示全部楼层 |阅读模式
我要读取一副bmp图像,将图像每个像素点的信息存储到寄存器中。程序大体如下:
reg [8*50:0] bmp_file;
reg [7:0] BMP_DATA [BMP_FILE_SIZE-1:0];//存储所有的像素点,BMP_FILE_SIZE为像素点的个数
integer fileId,dd;

bmp_file = "test.bmp";//读取图像
fileId = $fopen(bmp_file, "rb");//打开图像
dd=$fread(BMP_DATA, bmp_file);//保存图像信息     
$fclose(fileId);
请大家看一下这样写对不对,还有就是我编译的时候一直提示
“Error (10174): Verilog HDL Unsupported Feature error at sensor.v(61): system function "$fopen" is not supported for synthesis ”,
所以我想请教一下高手该怎么写?因为没有做过这方面的工作,所以期待大家帮助一下,谢谢
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-23 21:47 , Processed in 0.090462 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表