集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1675|回复: 2

当无时钟脉冲时,控制器就输出为“0”,只要一个脉冲触发它,则它的输出就是“1

[复制链接]
529038792 发表于 2010-5-21 22:29:14 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-5-23 06:55 编辑

功能如下:当无时钟脉冲输入到控制器时,控制器就输出为“0”,只要由一个脉冲触发它时,则它的输出就是“1”,而且这个“1”是永远保持下去
我的程序是:library ieee;
use ieee.std_logic_1164.all;
entity keyon is
port(en:in std_logic;
      qut std_logic);
end entity keyon;
architecture one of keyon is
begin
process(en)
begin
if (en'event) and (en='1') then
q<='1';
end if;
end process;
end architecture one;
波形全为高电平,这是怎么回事呢?
luckymiaojw 发表于 2010-5-22 17:03:18 | 显示全部楼层
use ieee.std_logic_1164.all;
entity keyon is
port(en:in std_logic;
      qut std_logic);
end entity keyon;
architecture one of keyon is
signal q:std_logic:=0;
begin
process(en)
begin
if (en'event) and (en='1') then
q<='1';
end if;
end process;
end architecture one;

评分

1

查看全部评分

weibode01 发表于 2010-11-9 11:13:04 | 显示全部楼层
VHDL啊。。。。我们不学这个。。。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 23:48 , Processed in 0.068922 second(s), 27 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表