集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2420|回复: 1

modelsim 仿真lpm_rom问题

[复制链接]
gaiya111 发表于 2012-3-8 15:13:05 | 显示全部楼层 |阅读模式
run
# Cannot continue because of fatal error.
# HDL call sequence:
# Stopped at C:/altera/11.1/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd 40040 Subprogram read_my_memory
# called from  C:/altera/11.1/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd 41612 Process MEMORY

我用VHDL写的 求高手解决
 楼主| gaiya111 发表于 2012-3-8 16:24:38 | 显示全部楼层
我好像解决了
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-17 07:04 , Processed in 0.063266 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表