集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1987|回复: 1

二进制到格雷码转换 Verilog代码

[复制链接]
老怪甲 该用户已被删除
老怪甲 发表于 2010-5-28 10:45:33 | 显示全部楼层 |阅读模式
二进制到格雷码转换

//
//-----------------------------------------------------------------------------------
// DESCRIPTION  : Bin to gray converter
//         Input (DATA_IN) width : 4
//         Enable (EN) active : high
//
//-----------------------------------------------------------------------------------


module BIN2GARY (EN ,DATA_IN ,DATA_OUT );

  input EN ;

  input [3:0] DATA_IN ;

  output [3:0] DATA_OUT ;

  assign DATA_OUT [0] = (DATA_IN [0] ^ DATA_IN [1] ) && EN ;
  assign DATA_OUT [1] = (DATA_IN [1] ^ DATA_IN [2] ) && EN ;
  assign DATA_OUT [2] = (DATA_IN [2] ^ DATA_IN [3] ) && EN ;
  assign DATA_OUT [3] = DATA_IN [3] && EN ;
endmodule
weibode01 发表于 2010-11-9 11:10:05 | 显示全部楼层
哈哈,常用的一段
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-24 02:45 , Processed in 0.063337 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表