集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1675|回复: 2

7段译码器Verilog代码

[复制链接]
老怪甲 该用户已被删除
老怪甲 发表于 2010-5-28 10:45:56 | 显示全部楼层 |阅读模式
7段译码器

//
//
//-----------------------------------------------------------------------------------
// DESCRIPTION  :  BIN to seven segments converter
//          segment encoding
//            a
//           +---+
//          f |  | b
//           +---+ <- g
//          e |  | c
//           +---+
//            d
//         Enable (EN) active        : high
//         Outputs (data_out) active     : low
//-----------------------------------------------------------------------------------



module bin27seg (data_in ,EN ,data_out );

  input [3:0] data_in ;

  input EN ;

  output [6:0] data_out ;
  reg [6:0] data_out ;


  always @(data_in or EN )
  begin
    data_out = 7&#39;b1111111;
    if (EN == 1)
      case (data_in )
        4&#39;b0000: data_out = 7&#39;b1000000; // 0
        4&#39;b0001: data_out = 7&#39;b1111001; // 1
        4&#39;b0010: data_out = 7&#39;b0100100; // 2
        4&#39;b0011: data_out = 7&#39;b0110000; // 3
        4&#39;b0100: data_out = 7&#39;b0011001; // 4
        4&#39;b0101: data_out = 7&#39;b0010010; // 5
        4&#39;b0110: data_out = 7&#39;b0000011; // 6
        4&#39;b0111: data_out = 7&#39;b1111000; // 7
        4&#39;b1000: data_out = 7&#39;b0000000; // 8
        4&#39;b1001: data_out = 7&#39;b0011000; // 9
        4&#39;b1010: data_out = 7&#39;b0001000; // A
        4&#39;b1011: data_out = 7&#39;b0000011; // b
        4&#39;b1100: data_out = 7&#39;b0100111; // c
        4&#39;b1101: data_out = 7&#39;b0100001; // d
        4&#39;b1110: data_out = 7&#39;b0000110; // E
        4&#39;b1111: data_out = 7&#39;b0001110; // F
        default: data_out = 7&#39;b1111111;
      endcase
  end

endmodule
weibode01 发表于 2010-11-9 11:10:47 | 显示全部楼层
好程序。。。。
sunmaster 发表于 2011-4-12 19:10:21 | 显示全部楼层
没看出来,一般般
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-15 17:13 , Processed in 0.061492 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表