集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3149|回复: 2

8位数据锁存器 Verilog代码

[复制链接]
老怪甲 该用户已被删除
老怪甲 发表于 2010-5-28 10:46:36 | 显示全部楼层 |阅读模式
基本时序逻辑功能-Verilog HDL 程序举例

8位数据锁存器

//
//
//-----------------------------------------------------------------------------------
// DESCRIPTION  : Flip-flop D type
//         Width : 8
//         CLK active : high
//         CLR active : high
//         CLR type : synchronous
//         SET active : high
//         SET type : synchronous
//         LOAD active : high
//         CE active : high
//
//-----------------------------------------------------------------------------------


module ffd (CLR , SET , CE , LOAD , DATA_IN , DATA_OUT , CLK );
input CLR , SET , CE , LOAD , CLK ;
input [7:0] DATA_IN ;
output [7:0] DATA_OUT ;


reg [7:0] DATA_OUT_TEMP;

  always @(posedge CLK )
  begin
    if (CE == 1'b1)
      if (CLR == 1'b1)
        DATA_OUT_TEMP = {8{1'b0}};
      else if (SET == 1'b1)
        DATA_OUT_TEMP = {8{1'b1}};
      else if (LOAD == 1'b1)
        DATA_OUT_TEMP = DATA_IN ;
  end

  assign DATA_OUT = DATA_OUT_TEMP;

endmodule
iamzhongzheng 发表于 2010-7-18 21:09:20 | 显示全部楼层
在此谢过
正好有用
weibode01 发表于 2010-11-5 14:08:52 | 显示全部楼层
需要Verilog的联系我。。。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 19:52 , Processed in 0.074466 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表