集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2172|回复: 0

哪位大侠能帮小弟用FPGA实现以下QPSK调制?

[复制链接]
xdmxdxm 发表于 2010-6-10 09:20:23 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_MPSK is
port(clk     :in std_logic;             --系统时钟
     start   :in std_logic;             --开始调制信号
     x      :in std_logic;             --基带信号
     y      ut std_logic);           --调制信号
end PL_MPSK;
architecture behav of PL_MPSK is
signal q:integer range 0 to 7;            --计数器
signal xx:std_logic_vector(1 downto 0);   --中间寄存器
signal yy:std_logic_vector(1 downto 0);   --2位并行码寄存器
signal f:std_logic_vector(3 downto 0);    --载波f
begin
process(clk)        --通过对clk分频,得到4种相位;并完成基带信号的串并转换
begin
if clk'event and clk='1' then
   if start='0' then q<=0;   
   elsif q=0 then q<=1;f(3)<='1'; f(1)<='0'; xx(1)<=x;yy<=xx;
   elsif q=2 then q<=3;f(2)<='0'; f(0)<='1';
   elsif q=4 then q<=5;f(3)<='0'; f(1)<='1'; xx(0)<=x;
   elsif q=6 then q<=7;f(2)<='1'; f(0)<='0';
   else  q<=q+1;
   end if;
end if;
end process;
y<=f(0) when yy="11" else
   f(1) when yy="10" else
   f(2) when yy="01" else
   f(3);                                  --根据yy寄存器数据,输出对应的载波
end behav;
这段QuartusII软件下的程序 大侠们能不能帮小弟在FPGA 上实现一下(小弟是门外汉)  把结果图截屏发我邮箱里junfeng959@126.com  万分感谢
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-14 09:55 , Processed in 0.080286 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表