集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2189|回复: 1

I2C接口读写EEPEROM设计

[复制链接]
zsc562743237 发表于 2012-7-3 10:07:13 | 显示全部楼层 |阅读模式
1.        设计描述:
应用开发板,通过串口收发数据,FPGA与与外部EEPROM进行I2C接口连接,实现读写EEPROM功能。
2.        硬件连接:
通过开发板扩展接口,连接EEPROM,EEPROM型号为24LC64,需要焊接一些连线,与EEPROM硬件连接。
3.        功能实现:
通过串口向EEPROM发送数据,分为按字节写使能和按页写使能;电路复位后,通过串口读出EEPROM之前写入的数据,并显示在串口调试工具上。
4.        调试工具:
串口调试助手。
5.             用VHDL语言实现
hyc19892012 发表于 2012-7-4 10:22:41 | 显示全部楼层
。。怎么没附件啊?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-14 13:07 , Processed in 0.086355 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表