我学的状态机的例子是分了三个process的那种 不同process之间是并行执行的 问题如下:
architecture behave of FSM is
signal pres_state, next_state: std_logic_vector(2 downto 0) := "000";
begin
first:process
begin
...
pres_state <= next_state
...
end process first;
second : process
begin
...
case pres_state is
when "000" =>
...next_state <= "000";
...
end process second;
third process
begin
...
case pres_state is
when "000" =>
...
end process third;
end behave;