集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2358|回复: 1

在vhdl中,用状态机实现和用同等功能的if_else语句实现,有什么区别?

[复制链接]
inter 发表于 2010-6-26 00:39:06 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-11-19 06:41 编辑

在vhdl中,用状态机实现和用同等功能的if_else语句实现,在所用时间和空间上有什么区别?是不是所有状态机都可以由if_else语句实现其功能
CCIE 发表于 2010-6-26 02:29:48 | 显示全部楼层
if else对时间要求高;<br>
<br>
状态机对空间要求高;<br>
<br>
感觉这个问题就是 if else和 case 语句之间的区别。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-17 10:21 , Processed in 0.069950 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表