集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2987|回复: 5

请教fpga高手有关接口的问题

[复制链接]
interi 发表于 2010-6-26 00:32:08 | 显示全部楼层 |阅读模式
在把一个大的程序分成几个模块时综合成功通过,但是用ISE仿真时发现不对,是不是要每一个单独的文件都要单独进行仿真?
usd 发表于 2010-6-26 01:25:22 | 显示全部楼层
比如顶层文件是<br>
module top(clk, reset, c);<br>
&nbsp; &nbsp; input clk;<br>
&nbsp; &nbsp; input reset;<br>
&nbsp; &nbsp; output [7:0] c;<br>
<br>
&nbsp; &nbsp; one one_ins(.clk(clk),.reset(reset),.counter(c[7:0]));<br>
<br>
endmodule<br>
<br>
采用元件例化<br>
<br>
module one(clk, reset, counter);<br>
&nbsp; &nbsp; input clk;<br>
&nbsp; &nbsp; input reset;<br>
&nbsp; &nbsp; output reg [7:0] counter;<br>
&nbsp; &nbsp; &nbsp; &nbsp;&nbsp;&nbsp;<br>
&nbsp; &nbsp; &nbsp; &nbsp;&nbsp;&nbsp;always @ (posedge clk)<br>
&nbsp; &nbsp; &nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;if(reset == 1'b1)<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;counter &lt;= 0 ;<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp;&nbsp;&nbsp;else <br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;counter &lt;= (counter == 200) ? 0 : counter + 1 ;<br>
endmodule<br>
<br>
<br>
这两个文件在同一个工程中,仿真的时候为什么得不到想要的仿真图,该怎么办,请各路大侠指点!
usd 发表于 2010-6-26 02:21:14 | 显示全部楼层
一同学习。
ngtim 发表于 2010-6-26 02:46:16 | 显示全部楼层
你用的是modsim仿真的吗?你最好把always @ (posedge clk)改为always @ (posedge clk or&nbsp;&nbsp;posedge reset),这样就应该可以了。
UFO 发表于 2010-6-26 04:35:50 | 显示全部楼层
嗯。 不错,有道理
Sunlife 发表于 2015-6-17 10:04:28 | 显示全部楼层
你用的是modsim仿真的吗?你最好把always @ (posedge clk)改为always @ (posedge clk or&nbsp;&nbsp;posedge reset),这样就应该可以了。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 04:06 , Processed in 0.128989 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表