集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: usb

fpgaw mcs51与cpld接口

[复制链接]
ngtim 发表于 2010-6-26 13:48:20 | 显示全部楼层
想下但下不了,我昨天都有几十个EDA院的,怎么今天一打开尽然eda元是-1,不知道为什么了?
ATA 发表于 2010-6-26 13:55:08 | 显示全部楼层
太需要了,呵呵
HDL 发表于 2010-6-26 14:54:47 | 显示全部楼层
谢了,呵呵,下来看看
ATA 发表于 2010-6-26 15:05:31 | 显示全部楼层
谢谢阿,做单片机的和CPLD结合应用的还是比较多
encounter 发表于 2010-6-26 15:52:54 | 显示全部楼层
其实主要是单片机来控制的!
encounter 发表于 2010-6-26 17:21:36 | 显示全部楼层
很想下,不能下了
VVC 发表于 2010-6-26 18:53:55 | 显示全部楼层
顶了先啊,我们可能以后也会用到CPLD和51的接口
CHAN 发表于 2010-6-26 20:43:31 | 显示全部楼层
很小的程序啊
encounter 发表于 2010-6-26 21:35:15 | 显示全部楼层
东西下多了 就没有EDA元了
ICE 发表于 2010-6-26 22:08:21 | 显示全部楼层
正好准备在做这方面的设计,3x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-25 09:50 , Processed in 0.070296 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表