集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3123|回复: 7

关于cpld做分频器的问题

[复制链接]
tim 发表于 2010-6-26 01:48:55 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-12 14:09 编辑

各位高手好:我想用cpld(vhdl语言)做个分频器,分频系数是可变的(用单片机的数据总线置数,可不要只给我一个任意整数分频比的分频器程序哟!那个程序我有啊) 哪位高手有这样的例程。还望不吝赐教。
CHAN 发表于 2010-6-26 02:29:08 | 显示全部楼层
你是要VHDL的程序,还是要单片机的?还是一起的?<br>
把分频系数当CPLD输入的话不就可以了吗
CHAN 发表于 2010-6-26 03:42:06 | 显示全部楼层
如何写入呢?关键是这个我不会
encounter 发表于 2010-6-26 05:35:57 | 显示全部楼层
这个。。。。<br>
<br>
求思路比较好吧
CHANG 发表于 2010-6-26 06:33:46 | 显示全部楼层
或许会有用0
ATA 发表于 2010-6-26 07:20:14 | 显示全部楼层
飘过。。
longtim 发表于 2010-6-26 08:57:05 | 显示全部楼层
谢谢楼主的分享
Sunlife 发表于 2015-6-24 10:21:03 | 显示全部楼层
            谢谢楼主分享
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 01:28 , Processed in 0.067979 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表