这是一个防斗程序,可以详细解释下吗,我看不懂<br>
library ieee;<br>
use ieee.std_logic_1164.all;<br>
<br>
entity dou is<br>
port(din,clk:in std_logic;<br>
dout

ut std_logic);<br>
end dou;<br>
<br>
architecture beha of dou is<br>
signal x,y:std_logic;<br>
begin<br>
process(clk)<br>
begin<br>
if clk'event and clk='1' then<br>
x<=din;<br>
y<=x;<br>
end if;<br>
dout<=x and (not y);<br>
end process;<br>
end beha; |