集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 6297|回复: 16

异步

[复制链接]
longtime 发表于 2010-6-26 01:18:09 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-18 09:54 编辑

module async_resetFFstyle (q, d, clk, rst_n);
output q;
inputd, clk, rst_n;
reg  q;
// Verilog-2001: permits comma-separation
// @(posedge clk, negedge rst_n)
always @(posedge clk or negedge rst_n)
  if (!rst_n) q <= 1'b0;
  else  q <= d;
endmodule
为什么说上面代码实现异步复位?
always @(posedge clk )
  if (!rst_n) q <= 1'b0;
  else  q <= d;
这样就是同步了
UFO 发表于 2010-6-26 01:30:14 | 显示全部楼层
是的,我觉得是这样
HDL 发表于 2010-6-26 02:04:52 | 显示全部楼层
看下敏感事件列表的东西就知道了
AAT 发表于 2010-6-26 03:11:15 | 显示全部楼层
Asynchronous Circuit Design.rar
UFP 发表于 2010-6-26 03:41:09 | 显示全部楼层
异步电路设计 Asynchronous Circuit Design.part1.rar
ups 发表于 2010-6-26 03:44:14 | 显示全部楼层
异步电路设计 Asynchronous Circuit Design.part2.rar
ICE 发表于 2010-6-26 04:37:55 | 显示全部楼层
fft_高速傅立叶变换的vhdl源代码_可以综合.rar&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;<br>
WORD使用技巧100招.rar
HANG 发表于 2010-6-26 06:18:09 | 显示全部楼层
只有一个时钟称之为同步
CHAN 发表于 2010-6-26 07:48:26 | 显示全部楼层
只能有一个时钟沿变量在always块中
ups 发表于 2010-6-26 07:55:40 | 显示全部楼层
如果所有信号都在有效时钟沿起作用,就是同步,反之是异步,敏感变量表就是用来表明有效沿或电平的,所以如果只有一个时钟,则是同步,反之亦然
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2025-5-6 18:00 , Processed in 0.068322 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表