集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 4730|回复: 8

Verilog中如何实现矩阵相乘?

[复制链接]
VVC 发表于 2010-6-26 01:14:14 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-12 11:28 编辑

Verilog中如何实现矩阵相乘?
longtim 发表于 2010-6-26 01:37:48 | 显示全部楼层
采用状态机和流水线实现了。应该比较简单了。
interige 发表于 2010-6-26 02:58:05 | 显示全部楼层
谢谢提示,能否给个例子啊?
CCIE 发表于 2010-6-26 03:16:50 | 显示全部楼层
可以有这么几个办法,一个是定义一个乘-加的运算器,一次可以完成一行乘以一列的运算,然后控制行和列的移动,得到最后的结果。还有一个就是多几个运算单元,这样可以加快运算的速度。或者可以完全整个矩阵在一次运算之后得到计算结果,不过运算的规模需要比较大而以啦。
longt 发表于 2010-6-26 05:06:21 | 显示全部楼层
谢谢了!欢迎讨论!
CHANG 发表于 2010-6-26 06:46:34 | 显示全部楼层
跟据系统需求来决定<br>
原则:性能满足的前提下,少用资源
CHA 发表于 2010-6-26 07:57:43 | 显示全部楼层
发帖子 赚钱 中哈
xubuwei 发表于 2011-11-22 10:41:09 | 显示全部楼层
路过,赚点
君临天下 发表于 2011-11-30 22:29:18 | 显示全部楼层
顶一个,虽然不会
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 21:48 , Processed in 0.082653 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表