集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3359|回复: 4

ISE 的IP core 在调用DDS模块时出现以下Waring

[复制链接]
CHANG 发表于 2010-6-26 01:33:01 | 显示全部楼层 |阅读模式
在调用DDS模块时出现以下Waring,是致命的,因为没有输出。
我只是用DDS产生一个正弦波:

component DDS
        port(
        clk: in std_logic;
        COSINE: out std_logic_vector(13 downto 0));
end component;


WARNING:Xst:37 - Unknown property "black_box".
WARNING:Xst:647 - Input <clk> is never used.
WARNING:Xst:1305 - Output <COSINE> is never assigned. Tied to value 00000000000000.

37号warning在调用别的模块时也有,但Property不一样,能正常使用。关键是后面的waring,输入没有连接,输出直接到地。输入clk我例化时已经接了系统时钟了。


请高人指点啊。
AAT 发表于 2010-6-26 02:10:43 | 显示全部楼层
我感觉可能是你加载的库有问题,你找找ipcore所在库,然后添加在文件开头,应该就没事了
 楼主| CHANG 发表于 2010-6-26 02:54:10 | 显示全部楼层
不管3721<br>
******
tim 发表于 2010-6-26 04:17:01 | 显示全部楼层
好像是引入core的错误
Sunlife 发表于 2015-6-28 11:09:27 | 显示全部楼层
我感觉可能是你加载的库有问题,你找找ipcore所在库,然后添加在文件开头,应该就没事了
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-2 08:53 , Processed in 0.075725 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表