集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2664|回复: 8

verilog乘法器和其测试程序

[复制链接]
CHANG 发表于 2010-6-28 00:13:59 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-6 05:31 编辑

module multi(a,b,multiout);
  input [2:0] a,b;
  output [5:0] multiout;
  reg [5:0] multiout,result;
  integer i;
  
  always @ (a or b)
  begin
  result=0;
  
  for (i=0;i<=2;i=i+1)
  if (b)
  result=result+(a<<i);
  multiout=result;
  end

endmodule

module multi_top;
  reg [2:0] a,b;
  wire [5:0] multiout;
  parameter delay=50;
  multi UUT(a,b,multiout);
  initial
  begin
  a=0;
  b=0;
  end
  always #50 a[0]=~a[0];
  initial
  begin
  a[1]=1;
  a[2]=0;
  b[0]=1;
  b[1]=0;
  b[2]=1;
  end
  always @ (multiout)
  begin
  $display ("multiout=%b",multiout);
  end
endmodule
CHA 发表于 2010-6-28 02:06:14 | 显示全部楼层
利用verilog 编写的用语检测序列码中110的程序模块和其测试模块。
ANG 发表于 2010-6-28 03:58:41 | 显示全部楼层
3-8译码器verilog程序模块和它的测试模块<br>
用modelsim已经验证正确
ups 发表于 2010-6-28 05:17:11 | 显示全部楼层
楼主 好人,!顶下!
CTT 发表于 2010-6-28 05:50:03 | 显示全部楼层
乘法貌似有错,if(b) ??
UFO 发表于 2010-6-28 06:18:41 | 显示全部楼层
译码器的工程有就更好了。
wxywxyno1 发表于 2010-10-12 20:43:59 | 显示全部楼层
编译有错误。
weibode01 发表于 2010-11-8 18:43:52 | 显示全部楼层
有些地方好像可以用*吧
weibode01 发表于 2010-11-8 18:58:25 | 显示全部楼层
好多人要乘法器的代码啊,有完整的放上来啊
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 18:06 , Processed in 0.108533 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表