集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2992|回复: 2

VHDL语言实现PWM

[复制链接]
usd 发表于 2010-6-28 00:13:44 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-18 11:13 编辑

产生PWM信号有六个单元时钟信号单元、计数器单元、基准数据锁存控制器单元、比较器单元、死区控制单元、PWM信号产生和停止单元
hng1123 发表于 2011-4-7 12:59:32 | 显示全部楼层
您能不能写一段,vhdl的程序呢
谢谢啊
cumt04071770 发表于 2011-5-22 19:42:09 | 显示全部楼层
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-15 11:28 , Processed in 0.142034 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表