集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3621|回复: 9

VHDL菜鸟问题

[复制链接]
FFT 发表于 2010-6-27 23:47:25 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-3 06:09 编辑

process(clk)
begin
if clk 'event and clk='1' then
  v<=u(18);
  u<=u+1;
  w<=not v and u(18);
end if;
end process;
能告诉我这段程序实现什么和有什么错误么
ups 发表于 2010-6-28 01:38:28 | 显示全部楼层
偶也是刚接触,不懂
 楼主| FFT 发表于 2010-6-28 03:10:11 | 显示全部楼层
2`18次分频
CHAN 发表于 2010-6-28 04:12:58 | 显示全部楼层
进程貌似还没完吧,不懂
usd 发表于 2010-6-28 05:45:12 | 显示全部楼层
提问也要让别人知道你的意思啊,<br>
你随便从一个程序中拿出一段,别人怎么知道你的信号是哪些,变量是哪些? 信号是干什么的?<br>
所以提问也要有水平.
HANG 发表于 2010-6-28 07:06:15 | 显示全部楼层
问也要让别人知道你的意思啊
usd 发表于 2010-6-28 07:43:20 | 显示全部楼层
看不懂,不明白你的意思
CCIE 发表于 2010-6-28 08:13:35 | 显示全部楼层
hehehehehe
ICE 发表于 2010-6-28 08:35:25 | 显示全部楼层
需要一个reset<br>
初始化寄存器的初值<br>
if reset ='1' then <br>
v&lt;='0';<br>
u&lt;=(others=&gt;'0');<br>
w&lt;='0';<br>
逻辑方面,由于是并行处理的语句,<br>
注意时序上的问题
inter 发表于 2010-6-28 09:03:55 | 显示全部楼层
haodin gl luxii wo&nbsp;&nbsp;lai&nbsp;&nbsp;zhueang shui l
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-1 02:52 , Processed in 0.069305 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表