集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1851|回复: 0

那位fpga高手大哥用VHDL做过浮点加减法器

[复制链接]
AAT 发表于 2010-6-27 23:49:31 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-15 13:22 编辑

浮点加减法及其硬件电路实现,要求用VHDL设计,20位浮点数的 14位位数,6位阶码。 那位大哥做过这个东西请帮个忙,有劳那位大哥了,定有谢意。期待大哥联系
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-2 16:26 , Processed in 0.066137 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表