集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 6397|回复: 14

quartusII 中用Verilog写了几个很简单的模块但在编译时总出现这样的warning:

[复制链接]
HDL 发表于 2010-6-27 23:44:08 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-6 05:29 编辑

在quartusII 中用Verilog写了几个很简单的模块,就是基本的D触发器、移位寄存器什么的,但在编译时总出现这样的warning:
Warning: Found pins functioning as undefined clocks and/or memory enables
Info: Assuming node "clk" is an undefined clock
想请教一下这个问题该怎么解决。
ANG 发表于 2010-6-28 00:53:15 | 显示全部楼层
???????????????????????????????????????????????????????????
ICE 发表于 2010-6-28 02:35:25 | 显示全部楼层
这个警告不用理会它的,以个人经验来看,对综合结果没有影响的
ngtim 发表于 2010-6-28 03:02:08 | 显示全部楼层
楼上说的没错
inter 发表于 2010-6-28 03:37:17 | 显示全部楼层
这个WARNING就是,你没有把你的CLK PIN给约束成CLK<br>
它自动把它猜测为CLK<br>
你可以通过加约束来去掉它。
usb 发表于 2010-6-28 04:35:35 | 显示全部楼层
楼上说的没错,一般而言,简单的设计中也许没有对时钟引脚进行约束,就会导致此类的警告,但QT会自动将其视为CLK,所以倒不会影响正常工作,不过从养成好的开发习惯考虑,还是在设计中设置相关的约束为好。
ngtim 发表于 2010-6-28 04:44:50 | 显示全部楼层
同意,没有对时钟进行约束,警告可以忽略
ANG 发表于 2010-6-28 05:56:52 | 显示全部楼层
同意,一般不用管它的!
CCIE 发表于 2010-6-28 07:01:00 | 显示全部楼层
弱弱的请教一下,怎么对时钟进行约束呢?
usd 发表于 2010-6-28 07:32:07 | 显示全部楼层
定义引脚
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-1 02:18 , Processed in 0.070158 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表