集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2260|回复: 6

关于操作符?

[复制链接]
ups 发表于 2010-6-27 23:14:51 | 显示全部楼层 |阅读模式
请问各位:
  1. 如果说a=1000,b=0100,那么计数器(定义变量为temp)从0000 计数到a to a+b时 信号y<='1' ,其余y<='1' 。即当temp在a至a+b时y<='1' ,其余为‘0’。这样怎么变程序阿,我写的怎么都不对。
是不是要将a、 b转换成整数进行加法,怎么转换阿?
谢谢各位
CHAN 发表于 2010-6-28 00:39:55 | 显示全部楼层
不用吧    直接计数就OK了   再用IF。。。。else作判断啊
interig 发表于 2010-6-28 02:25:39 | 显示全部楼层
module count4(clk,reset,out,y);<br>
<br>
&nbsp; &nbsp; &nbsp; &nbsp; input clk;<br>
&nbsp; &nbsp; &nbsp; &nbsp; input reset;<br>
&nbsp; &nbsp; &nbsp; &nbsp; output [3:0] out;<br>
&nbsp; &nbsp; &nbsp; &nbsp; output y;<br>
&nbsp; &nbsp; &nbsp; &nbsp; <br>
&nbsp; &nbsp; &nbsp; &nbsp; reg [3:0] out;<br>
&nbsp; &nbsp; &nbsp; &nbsp; reg y;<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; <br>
&nbsp; &nbsp; &nbsp; &nbsp; always @(posedge clk)<br>
&nbsp; &nbsp; &nbsp; &nbsp; begin<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; if(!reset)<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; out &lt;= 4'b0;<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; else <br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; out &lt;= out + 1;<br>
&nbsp; &nbsp; &nbsp; &nbsp; end<br>
&nbsp; &nbsp; &nbsp; &nbsp; <br>
&nbsp; &nbsp; &nbsp; &nbsp; always @(negedge clk)<br>
&nbsp; &nbsp; &nbsp; &nbsp; begin<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; if (out &gt;= 4'b0100 &amp;&amp; out &lt;= 4'b1000)<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;&nbsp; &nbsp; &nbsp; &nbsp; y = 1;<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; else<br>
&nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; y=0;<br>
&nbsp; &nbsp; &nbsp; &nbsp; end<br>
<br>
endmodule
CHAN 发表于 2010-6-28 03:21:20 | 显示全部楼层
4'b0100 中的&rsquo;b是什么,怎么老有错误,书上也没有查到,谢谢您!
encounter 发表于 2010-6-28 04:15:59 | 显示全部楼层
binary<br>
<br>
你先看看例子吧
inter 发表于 2010-6-28 05:15:46 | 显示全部楼层
你是不是直接从这个网页拷贝的???
HDL 发表于 2010-6-28 07:09:49 | 显示全部楼层
b表示二进制,你最好把程序贴出来看看!
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-30 17:14 , Processed in 0.084395 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表