集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2880|回复: 2

用verilog语言实现一个256*256的图像数字信号

[复制链接]
vvt 发表于 2010-7-31 07:39:09 | 显示全部楼层 |阅读模式
用verilog语言实现一个256*256的图像数字信号
用verilog语言做一个图像测试信号,256*256的图像,传输速度是1000帧每秒,测试信号需要有三个波形,一个是行同步,一个是行有效,一个是帧同步,还有一个是数据输入。
 楼主| vvt 发表于 2010-7-31 07:39:41 | 显示全部楼层
用verilog语言实现一个256*256的图像数字信号
每一毫秒传送一幅图像,图像的大小是一个256*256的矩阵,矩阵中的每一个像素点是3*8共24位数,(三原色,每一种颜色对应8位数),这些数字是并行传送的。时钟的频率选833。3兆,周期是12纳秒
Sunlife 发表于 2015-7-20 11:17:44 | 显示全部楼层
用verilog语言实现一个256*256的图像数字信号
每一毫秒传送一幅图像,图像的大小是一个256*256的矩阵,矩阵中的每一个像素点是3*8共24位数,(三原色,每一种颜色对应8位数),这些数字是并行传送的。时钟的频率选833。3兆,周期是12纳秒
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-15 08:51 , Processed in 0.071368 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表