集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 6969|回复: 12

为什么一模一样的程序在quartus和modelsim中仿真不同,呵呵。

[复制链接]
muwu324 发表于 2010-8-4 22:57:28 | 显示全部楼层 |阅读模式
为什么一模一样的程序在quartus和modelsim中仿真不同,呵呵。

程序:
module state_ctrl(clk,en,reset,en1,en2,wen,ren,adder);

input clk,en,reset;
output en1;
output en2;
output wen;
output ren;

output[31:0] adder;
reg [3:0] state;
reg [31:0] adder;

always @(posedge clk or negedge reset)

begin
if(!reset)
    adder<=0;
else if(!en)
       begin if(adder==60000)
           adder<=0;
       else
           adder<=adder+1;
       end
else  adder<=0;
end
assign {en1,en2,wen,ren}=state;

always @(adder)
  begin
   case(adder)
  32'd0:state<=4'b1111;
  32'd2:state<=4'b1001;
  32'd3:state<=4'b1010;
  32'd45:state<=4'b0111;
  default:state<=state;
  endcase
  end
endmodule
-----------------------------------
测试文件:

module tb_state_ctrl;
reg clk,en,reset;
wire en1;
wire en2;
wire wen;
wire ren;

wire [31:0] adder;

state_ctrl state_ctrl(.clk(clk),.en(en),.reset(reset),.en1(en1),.en2(en2),.wen(wen),.ren(ren),.adder(adder));

initial
begin
clk=0;
forever #50 clk=!clk;
end
initial
begin
reset=0;
en=0;
#100 reset=1;
end
endmodule

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
过去的过去 发表于 2010-8-5 08:20:01 | 显示全部楼层
恩,好好向这里学习知识的
过去的过去 发表于 2010-8-5 08:20:54 | 显示全部楼层
我其实还不会仿真的
芙蓉帐暖 发表于 2010-8-5 15:33:05 | 显示全部楼层
我觉得主要是仿真软件本身的延时问题把,结果还是一样的。你注意一下en1,en2,wen..
hng1123 发表于 2011-4-5 09:06:11 | 显示全部楼层
多谢各位了,我来学习一下啊
hys601406441 发表于 2011-8-23 18:51:21 | 显示全部楼层
学习学习
liujilei311 发表于 2011-8-24 07:51:15 | 显示全部楼层
感觉很奇妙,呵呵,学习一下!!!!!!!
wushimin6 发表于 2011-8-24 10:39:34 | 显示全部楼层
一本学习FPGA不错的书
wushimin6 发表于 2011-8-24 10:52:00 | 显示全部楼层
你在quartus里仿真用的是创建波形的模式吧,但是在modelsim里是通过写testbench来做的仿真,出现这种错误的原因很可能是你创建波形给的激励和你写tesetbench给的激励不一样。
snowinmoon 发表于 2011-8-24 13:11:50 | 显示全部楼层
同意楼上的说法,虽然我也是刚学Verilog
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2025-8-20 14:08 , Processed in 0.100218 second(s), 25 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表