集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3168|回复: 3

如何实现信号延时(上升沿同步,但下降沿延迟几个时钟出现)?

[复制链接]
IPO 发表于 2010-8-11 14:44:36 | 显示全部楼层 |阅读模式
如何实现信号延时(上升沿同步,但下降沿延迟几个时钟出现)?
 楼主| IPO 发表于 2010-8-11 14:44:40 | 显示全部楼层
解决方法:
     PROC_ADJ_VSYNCS: process (CLK_IN,CLK)--可实现信号线延时
         variable VAR_VSYNC_CNT: std_logic_vector(3 downto 0):= "0000";
         constant CONST_VSYNC_CNT_MAX: std_logic_vector(3 downto 0):= "1100";
     begin
         if CLK_IN='1' then
             CLK_OUT <= '1';
             VAR_VSYNC_CNT := "0000";
         elsif rising_edge(CLK) then
             if (VAR_VSYNC_CNT<CONST_VSYNC_CNT_MAX) then
                 CLK_OUT <= '1';
                 VAR_VSYNC_CNT := VAR_VSYNC_CNT + 1;
             else
                 CLK_OUT <= '0';
             end if;
         end if;
     end process PROC_ADJ_VSYNCS;
amyxxf 发表于 2010-8-18 23:47:56 | 显示全部楼层
input signal;
reg [2:0]test_reg;
wire signal_up;
wire signal_down;
always@(posedge clk or negedge rst)
begin
  if(!rst)
   test_reg <= 3'b000;
  else
   test_reg <= {test_reg[1:0],signal};
end
assign signal_up = ((!test_reg[0] ) && signal) ? 1'b1 : 1'b0;//信号上升沿
assign  signal_down=(test_reg[1] && (!test_reg[2])) ? 1'b1 : 1'b0;//信号下降沿

*****一般都是这么处理的,可以看看------------------------------------------------
-----------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------
amyxxf 发表于 2010-8-18 23:48:02 | 显示全部楼层
input signal;
reg [2:0]test_reg;
wire signal_up;
wire signal_down;
always@(posedge clk or negedge rst)
begin
  if(!rst)
   test_reg <= 3'b000;
  else
   test_reg <= {test_reg[1:0],signal};
end
assign signal_up = ((!test_reg[0] ) && signal) ? 1'b1 : 1'b0;//信号上升沿
assign  signal_down=(test_reg[1] && (!test_reg[2])) ? 1'b1 : 1'b0;//信号下降沿

*****一般都是这么处理的,可以看看------------------------------------------------
-----------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-24 02:45 , Processed in 0.070063 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表