集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1668|回复: 1

这是什么原因?

[复制链接]
Gevyaa 发表于 2010-8-16 10:12:55 | 显示全部楼层 |阅读模式
module hz(t1,t2,t3,t4,t5,deng1,deng2,deng3,deng4,deng5);
input t1,t2,t3,t4,t5;
output deng1,deng2,deng3,deng4,deng5;
reg deng1,deng2,deng3,deng4,deng5;
reg k1,k2,k3,k4,k5;
initial
begin
k1=0;
#1000000000 forever begin
#1000000000 k1=~k1;
end
end
initial
begin
k2=0;
#1000000000 forever begin
#1000000000 k2=~k2;
end
end
initial
begin
k3=0;
#1000000000 forever begin
#1000000000 k3=~k3;
end
end
initial
begin
k4=0;
#1000000000 forever begin
#1000000000 k4=~k4;
end
end
initial
begin
k5=0;
#1000000000 forever begin
#1000000000 k5=~k5;
end
end

always begin
if(t1)
deng1=1;
else
deng1=k1;
end
always begin
if(t2)
deng2=1;
else
deng2=k2;
end
always begin
if(t3)
deng3=1;
else
deng3=k3;
end
always begin
if(t4)
deng4=1;
else
deng4=k4;
end
always begin
if(t5)
deng5=1;
else
deng5=k5;
end
endmodule






Error (10119): Verilog HDL Loop Statement error at Verilog1.v(20): loop with non-constant loop condition must terminate within 250 iterations
wxywxyno1 发表于 2010-10-13 23:12:59 | 显示全部楼层
我用modelsim编译了一下,结果
Error:E:/modelsim_ase/examples/hz.v(14):"initial":ayntax error,unexpected"initial"
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-5 16:23 , Processed in 0.061500 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表